Домой United States USA — IT TSMC's 1.6nm Technology Announced for Late 2026: A16 with "Super Power Rail"...

TSMC's 1.6nm Technology Announced for Late 2026: A16 with "Super Power Rail" Backside Power

29
0
ПОДЕЛИТЬСЯ

Array
With the arrival of spring comes showers, flowers, and in the technology industry, TSMC’s annual technology symposium series. With customers spread all around the world, the Taiwanese pure play foundry has adopted an interesting strategy for updating its customers on its fab plans, holding a series of symposiums from Silicon Valley to Shanghai. Kicking off the series every year – and giving us our first real look at TSMC’s updated foundry plans for the coming years – is the Santa Clara stop, where yesterday the company has detailed several new technologies, ranging from more advanced lithography processes to massive, wafer-scale chip packing options.
Today we’re publishing several stories based on TSMC’s different offerings, starting with TSMC’s marquee announcement: their A16 process node. Meanwhile, for the rest of our symposium stories, please be sure to check out the related reading below, and check back for additional stories.
Headlining its Silicon Valley stop, TSMC announced its first ‘angstrom-class’ process technology: A16. Following a production schedule shift that has seen backside power delivery network technology (BSPDN) removed from TSMC’s N2P node, the new 1.6nm-class production node will now be the first process to introduce BSPDN to TSMC’s chipmaking repertoire. With the addition of backside power capabilities and other improvements, TSMC expects A16 to offer significantly improved performance and energy efficiency compared to TSMC’s N2P fabrication process. It will be available to TSMC’s clients starting H2 2026.
At a high level, TSMC’s A16 process technology will rely on gate-all-around (GAAFET) nanosheet transistors and will feature a backside power rail, which will both improve power delivery and moderately increase transistor density. Compared to TSMC’s N2P fabrication process, A16 is expected to offer a performance improvement of 8% to 10% at the same voltage and complexity, or a 15% to 20% reduction in power consumption at the same frequency and transistor count.

Continue reading...